High Speed Approximate Carry Speculative Adder in Error Tolerance Applications

Authors

  • Ajay Kumar Gottem
  • Arunmetha Sundaramoorthy
  • Aravindhan Alagarsamy

DOI:

https://doi.org/10.47839/ijc.21.3.2696

Keywords:

Approximate adder, Area delay product (ADP), Parallel prefix adder, Field programmable gate array

Abstract

Approximate adders were proposed as feasible solution in error-tolerant applications to provide a proper trade-off with accuracy over other circuit-based metrics like energy, area, and delay. State of art of approximate adders are shown in this work to improve the operational features significantly. To acquire a most benefits of approximation, in this paper approximation at lower echelons is presented. Two speculative adders are proposed, one with approximate adder cell and other with Parallel prefix Adder cell. Gate level implementation of proposed model are designed and implemented. The cost functions are compared against various FPGA standard architectures. Results of proposed approach indicate an average of 46% improvement in Area Delay Product (ADP) and compared with existing approximate adders.

References

A. Aponte-Moreno, A. Moncada, F. Restrepo-Calle and C. Pedraza, "A review of approximate computing techniques towards fault mitigation in HW/SW systems," Proceedings of the 2018 IEEE 19th Latin-American Test Symposium (LATS), 2018, pp. 1-6. https://doi.org/10.1109/LATW.2018.8347241.

C. M. Kirsch and H. Payer, “Incorrect systems: It’s not the problem, it’s the solution,” Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (DAC), June 2012, pp. 913–917. https://doi.org/10.1145/2228360.2228523.

H. Jiang, C. Liu, L. Liu, F. Lombardi and J. Han, “A review, classification and comparative evaluation of approximate arithmetic circuits,” ACM JETCAS, vol. 13, no. 4, art. no. 60, 2017. https://doi.org/10.1145/3094124.

M. Samadi, J. Lee, D. A. Jamshidi, A. Hormati and S. Mahlke, “SAGE: self-tuning approximation for graphics engines,” Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013, pp. 13-24. https://doi.org/10.1145/2540708.2540711.

H. Esmaeilzadeh, A. Sampson, L. Ceze, and D. Burger, “Neural Acceleration for general-purpose approximate programs,” Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture MICRO-45, 20121, pp. 105-115. https://doi.org/10.1109/MICRO.2012.48.

W. Liu, F. Lombardi, M. Schultte, “Approximate computing: From circuits to applications,” Proceedings of the IEEE, vol. 108, no. 12, pp. 2103-2107, 2020. https://doi.org/10.1109/JPROC.2020.3033361.

K. M. Priyadarshini, R. S. E. Ravindran, P. R. Bhaskar, “A detailed scrutiny and reasoning on VLSI binary adder circuits and architectures,” International Journal of Innovative Technology and Exploring Engineering, vol. 8, issue 7, pp. 887-895, 2019.

N. Soumya, K. Sai Kumar, K. Raghava Rao, S. Rooban, R P. Sampath Kuma, G. N. Santhosh Kumar, “4-bit multiplier design using CMOS gates in electric VLSI,” International Journal of Recent Technology and Engineering, vol. 8, issue 2, pp. 1172-1177, 2019. https://doi.org/10.35940/ijrte.B1742.078219.

B. Moons, R. Uytterhoeven, W. Dehaene, and M. Verhelst. “DVAFS: Trading computational accuracy for energy through dynamic-voltageaccuracy-frequency-scaling,” Proceedings of the 2017 IEEE Conference on Design, Automation and Test in Europe (DATE), March 2017, pp. 488–493. https://doi.org/10.23919/DATE.2017.7927038.

B. Balaji, N. Ajay Nagendra, E. Radhamma, A. Krishna Murthy, M. Lakshmana Kumar, “Design of efficient 16 bit CRC with optimized power and area in VLSI circuits,” International Journal of Innovative Technology and Exploring Engineering, vol. 8, issue 8, pp. 87-91, 2019.

B. Murali Krishna, G. L. Madhumati, H. Khan, “FPGA based pseudo random sequence generator using XOR/XNOR for communication cryptography and VLSI testing applications,” International Journal of Innovative Technology and Exploring Engineering, vol. 8, issue 4, pp. 485-494, 2019.

D. Mohapatra, G. Karakonstantis, and K. Roy, “Significance driven computation: A voltage-scalable, variation-aware, quality-tuning motion estimator,” Proceedings of the 2009 ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), Aug. 2009, pp. 195–200. https://doi.org/10.1145/1594233.1594282.

C. Santhosh, R. S. E. Ravindran, U. B. P. Vulchi, V. Thumati, M. S. Gufran, D. Bhavana, S. V. Cheerla, “Design and verification of half dder using look up table (LUT) in quantum dot cellular automata (QCA),” International Journal of Advanced Science and Technology, vol. 28, issue 16, pp. 1804-1809, 2016.

O. Akbari, M. Kamal, A. Afzali-Kusha, and M. Pedram, “RAP-CLA: A reconfigurable approximate carry look-ahead adder,” IEEE TCAS-II, vol. 65, no. 8, pp. 1089–1093, 2018. https://doi.org/10.1109/TCSII.2016.2633307.

Y. Kim, Y. Zhang, and P. Li, “An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems,” Proceedings of the International Conference on Computer Aided Design ICCAD, 2013, pp. 130–137. https://doi.org/10.1109/ICCAD.2013.6691108.

F. Ebrahimi-Azandaryani, O. Akbari, M. Kamal, A. Afzali-Kusha, M. Pedram, “Block-based carry speculative approximate adder for energy-efficient applications,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 67, no. 1, pp. 137-141, Jan. 2020. https://doi.org/10.1109/TCSII.2019.2901060.

W. Xu, S. S. Sapatnekar, and J. Hu, “A simple yet efficient accuracy configurable adder design,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol 26, issue 6, pp. 1112-1125, 2018. https://doi.org/10.1109/TVLSI.2018.2803081.

T. Han and D. A. Carlson, “Fast area efficient VLSI adders,” Proceedings of the 8th IEEE Symposium on Computer Arithmetic, Como, Italy, 1987, pp. 49-56. https://doi.org/10.1109/ARITH.1987.6158699.

K. Vitoroulis and A. J. Al-Khalili, “Performance of parallel prefix adders implemented with FPGA technology,” Proceedings of the 2007 IEEE Northeast Workshop on Circuits and Systems, 2007, pp. 498-501. https://doi.org/10.1109/NEWCAS.2007.4487969.

B. Ramkumar and H. M Kittur, “Low-power and area-efficient carry select adder,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 20, no. 2, pp. 371-375, 2012. https://doi.org/10.1109/TVLSI.2010.2101621.

F. Liu, F. Fereydouni Forouzandeh, O. A. Mohamed, G. Chen, X. Song and Q. Tan, "A comparative study of parallel prefix adders in FPGA implementation of EAC," Proceedings of the 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, 2009, pp. 281-286. https://doi.org/10.1109/DSD.2009.135.

Downloads

Published

2022-09-30

How to Cite

Gottem, A. K., Sundaramoorthy, A., & Alagarsamy, A. (2022). High Speed Approximate Carry Speculative Adder in Error Tolerance Applications. International Journal of Computing, 21(3), 383-390. https://doi.org/10.47839/ijc.21.3.2696

Issue

Section

Articles